Publications

Head of institute: Univ.-Prof. Dr. Daniel Große


Books Book Contributions Journals Conferences Workshops Others


Books

[1] B Alireza Mahzoon, Daniel Große, and Rolf Drechsler, Formal Verification of Structurally Complex Multipliers. Springer, 2023.
[2] B Vladimir Herdt, Daniel Große, and Rolf Drechsler, Verbessertes virtuelles Prototyping: Mit RISC-V-Fallstudien. Springer, 2023.
[3] B Muhammad Hassan, Daniel Große, and Rolf Drechsler, Enhanced Virtual Prototyping for Heterogeneous Systems. Springer, 2022.
[4] B Rolf Drechsler and Daniel Große, Eds., Recent Findings in Boolean Techniques. Springer, 2021.
[5] B Vladimir Herdt, Daniel Große, and Rolf Drechsler, Enhanced Virtual Prototyping: Featuring RISC-V Case Studies. Springer, 2020.
[6] B Tom J. Kazmierski, Sebastian Steinhorst, and Daniel Große, Eds., Languages, Design Methods, and Tools for Electronic System Design - Selected Contributions from FDL 2018. Springer, 2020.
[7] B Daniel Große, Sara Vinco, and Hiren Patel, Eds., Languages, Design Methods, and Tools for Electronic System Design - Selected Contributions from FDL 2017. Springer, 2019.
[8] B Arun Chandrasekharan, Daniel Große, and Rolf Drechsler, Design Automation Techniques for Approximation Circuits. Springer, 2018.
[9] B Daniel Große and Rolf Drechsler, Eds., Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen. Shaker Verlag, 2017.
[10] B Daniel Große and Oliver Bringmann, Eds., 8. Workshop Cyber-Physical Systems - Enabling Multi-Nature Systems: domänenübergreifender Entwurf von heterogenen eingebetteten Systemen, 23.-24. Februar 2011. Universität Bremen, 2011.
[11] B Daniel Große and Rolf Drechsler, Quality-Driven SystemC Design. Springer, 2010.
[12] B Daniel Große, André Sülflow, and Nicole Drechsler, Eds., EXplayN - Strategieoptimierung und Analyse ausgewählter Spielprobleme. Shaker Verlag, 2008.
[13] B Daniel Große, Görschwin Fey, and Rolf Drechsler, Eds., SATRIX - Algorithmen für Boolesche Erfüllbarkeit. Shaker Verlag, 2007.

Book Contributions

[14] B Muhammad Hassan, Thilo Vörtler, Karsten Einwich, Rolf Drechsler, and Daniel Große, "Toward system-level assertions for heterogeneous systems," in Advanced Boolean Techniques: Selected Papers from the 15th International Workshop on Boolean Problems, Rolf Drechsler and Sebastian Huhn, Eds. Springer, 2023, pp. 67-81.
[15] B Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "GenMul: Generating architecturally complex multipliers to challenge formal verification tools," in Recent Findings in Boolean Techniques, Rolf Drechsler and Daniel Große, Eds. Springer, 2021, pp. 177-191.
[16] B Vladimir Herdt, Daniel Große, Hoang M. Le, and Rolf Drechsler, "Extensible and configurable RISC-V based virtual prototype," in Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from FDL 2018, Tom J. Kazmierski, Sebastian Steinhorst, and Daniel Große, Eds. Springer, 2020, pp. 115-134.
[17] B Saman Froehlich, Daniel Große, and Rolf Drechsler, "Approximate memory: Data storage in the context of approximate computing," in Information Storage, Cornelia S. Große and Rolf Drechsler, Eds. Springer, 2019, pp. 111-133.
[18] B Saman Froehlich, Daniel Große, and Rolf Drechsler, "Approximate hardware generation using formal techniques," in Approximate Circuits: Methodologies and CAD, Sherief Reda and Muhammad Shafique, Eds. Springer, 2019, pp. 155-174.
[19] B Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Towards early validation of firmware-based power management using virtual prototypes: A constrained random approach," in Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from FDL 2017, Daniel Große, Sara Vinco, and Hiren Patel, Eds. Springer, 2019, pp. 25-44.
[20] B Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "On the application of formal fault localization to automated RTL-to-TLM fault correspondence analysis for fast and accurate VP-based error effect simulation - a case study," in Languages, Design Methods, and Tools for Electronic System Design: Selected Contributions from FDL 2016, F. Fummi and R. Wille, Eds. Springer, 2018, pp. 39-58.
[21] B Daniel Große, Hoang M. Le, and Rolf Drechsler, "Formal verification of SystemC-based cyber components," in Industrial Internet of Things: Cybermanufacturing Systems, S. Jeschke, C. Brecher, H. Song, and D. B. Rawat, Eds. Springer, 2016, pp. 137-167.
[22] B Daniel Große, Görschwin Fey, and Rolf Drechsler, "Enhanced formal verification flow for circuits integrating debugging and coverage analysis," in Design and Test Technology for Dependable Systems-on-Chip, R. Ubar, J. Raik, and H. T. Vierhaus, Eds. Information Science Reference, 2011, pp. 119-129.
[23] B Robert Wille, Daniel Große, Finn Haedicke, and Rolf Drechsler, "SMT-based stimuli generation in the SystemC verification library," in Advances in Design Methods from Modeling Languages for Embedded Systems and SoC's: Selected Contributions on Specification, Design, and Verification from FDL 2009, D. Borrione, Ed. Springer, 2010, pp. 227-244.
[24] B Daniel Große, "Qualitätsorientierter Entwurfs- und Verifikationsablauf für digitale Systeme," in Ausgezeichnete Informatikdisserationen 2008, ser. Lecture Notes in Informatics, D. Wagner et al., Ed. Gesellschaft für Informatik, 2009, vol. D-9, pp. 121-130.
[25] B Daniel Große, Robert Wille, Robert Siegmund, and Rolf Drechsler, "Debugging contradictory constraints in constraint-based random simulation," in Languages for Embedded Systems and their Applications: Selected Contributions on Specification, Design, and Verification from FDL'08, M. Radetzki, Ed. Springer, 2009, pp. 273-290.
[26] B Robert Wille, Görschwin Fey, Daniel Große, Stephan Eggersglüß, and Rolf Drechsler, "Sword: A SAT like prover using word level information," in VLSI-SoC: Advanced Topics on Systems on a Chip: A Selection of Extended Versions of the Best Papers of the Fourteenth International Conference on Very Large Scale Integration of System on Chip, R. Reis, V. Mooney, and P. Hasler, Eds. Springer, 2009, pp. 175-192.
[27] B Daniel Große, Hernan Peraza, Wolfgang Klingauf, and Rolf Drechsler, "Measuring the quality of a SystemC testbench by using code coverage techniques," in Embedded Systems Specification and Design Languages: Selected contributions from FDL'07, E. Villar, Ed. Springer, 2008, pp. 73-86.
[28] B Daniel Große, Robert Siegmund, and Rolf Drechsler, "Processor verification," in Customizable Embedded Processors, P. Ienne and R. Leupers, Eds. Elsevier, 2006, pp. 281-302.
[29] B Rolf Drechsler and Daniel Große, "System-level validation using formal techniques," in System-on-Chip: Next Generation Electronics, Bashir M. Al-Hashimi, Ed. The IEE, 2006, pp. 715-745.

Journals

[30] B Lucas Klemmer and Daniel Große, "WAVING goodbye to manual waveform analysis in HDL design with WAL," TCAD, 2024, (accepted).
[31] B Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "RevSCA-2.0: SCA-based formal verification of non-trivial multipliers using reverse engineering and local vanishing removal," TCAD, vol. 41, no. 5, pp. 1573-1586, 2022.
[32] B Niklas Bruns, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Toward RISC-V CSR compliance testing," IEEE Embedded Systems Letters, vol. 13, no. 4, pp. 202-205, 2021.
[33] B Vladimir Herdt, Daniel Große, Sören Tempel, and Rolf Drechsler, "Adaptive simulation with virtual prototypes in an open-source RISC-V evaluation platform," JSA, vol. 116, p. 102135, 2021.
[34] B Buse Ustaoglu, Kenneth Schmitz, Daniel Große, and Rolf Drechsler, "ReCoFused partial reconfiguration for secure moving-target countermeasures on FPGAs," SN Appl. Sci., vol. 2, no. 8, pp. 1-17, 2020.
[35] B Frank Sill Torres, Pedro Arthur Silva, Geraldo Fontes, Marcel Walter, Jos'e Augusto Miranda Nacif, Ricardo Santos Ferreira, Omar Paranaiba Vilela Neto, Jeferson F. Chaves, Robert Wille, Philipp Niemann, Daniel Große, and Rolf Drechsler, "On the impact of the synchronization constraint and interconnections in quantum-dot cellular automata," MICPRO, vol. 76, p. 103109, 2020.
[36] B Vladimir Herdt, Daniel Große, Pascal Pieper, and Rolf Drechsler, "RISC-V based virtual prototype: An extensible and configurable platform for the system-level," JSA, vol. 109, p. 101756, 2020.
[37] B Marcel Walter, Robert Wille, Daniel Große, Frank Sill Torres, and Rolf Drechsler, "Placement and routing for tile-based field-coupled nanocomputing circuits is NP-complete," JETC, vol. 15, no. 3, pp. 29:1-29:10, 2019.
[38] B Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Combining sequentialization-based verification of multi-threaded C programs with symbolic partial order reduction," STTT, vol. 21, no. 5, pp. 545-565, 2019.
[39] B Mehran Goli, Muhammad Hassan, Daniel Große, and Rolf Drechsler, "Security validation of VP-based SoCs using dynamic information flow tracking," it-Information Technology, vol. 61, no. 1, pp. 45-58, 2019.
[40] B Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Verifying SystemC using intermediate verification language and stateful symbolic simulation," TCAD, vol. 38, no. 7, pp. 1359-1372, July 2019.
[41] B Melanie Diepenbeck, Ulrich Kühne, Mathias Soeken, Daniel Große, and Rolf Drechsler, "Behaviour driven development for hardware design," IPSJ Trans. System LSI Design Methodology, vol. 11, pp. 29-45, 2018.
[42] B Heinz Riener, Finn Haedicke, Stefan Frehse, Mathias Soeken, Daniel Große, Rolf Drechsler, and Görschwin Fey, "metaSMT: Focus on your application not on solver integration," STTT, vol. 19, no. 5, pp. 605-621, Oct. 2017.
[43] B Daniel Große, Görschwin Fey, and Rolf Drechsler, "Enhanced formal verification flow for circuits integrating debugging and coverage analysis," Electronic Communication of the European Association of Software Science and Technology, vol. 62, 2013.
[44] B Hoang M. Le, Daniel Große, and Rolf Drechsler, "Automatic TLM fault localization for SystemC," TCAD, vol. 31, no. 8, pp. 1249-1262, Aug. 2012.
[45] B Robert Wille, Daniel Große, D. Michael Miller, and Rolf Drechsler, "Equivalence checking of reversible circuits," Multiple-Valued Logic and Soft Computing, vol. 19, no. 4, pp. 361-378, 2012.
[46] B Robert Wille, Daniel Große, Stefan Frehse, Gerhard W. Dueck, and Rolf Drechsler, "Debugging reversible circuits," Integration, the VLSI Journal, vol. 44, no. 1, pp. 51-61, Jan. 2011.
[47] B Ulrich Kühne, Daniel Große, and Rolf Drechsler, "Towards fully automatic synthesis of embedded software," IEEE Embedded Systems Letters, vol. 2, no. 3, pp. 53-57, Sep. 2010.
[48] B Bernd Scholz-Reiter, Michael Lütjen, Carmen Ruthenbeck, Florian Harjes, Rolf Drechsler, and Daniel Große, "Formale Verifikation von logistischen Prozessmodellen," ERP Management, vol. 5, no. 4, pp. 44-47, 2009.
[49] B Daniel Große, Robert Wille, Gerhard W. Dueck, and Rolf Drechsler, "Exact multiple control Toffoli network synthesis with SAT techniques," TCAD, vol. 28, no. 5, pp. 703-715, 2009.
[50] B Daniel Große, Robert Wille, Gerhard W. Dueck, and Rolf Drechsler, "Exact synthesis of elementary quantum gate circuits," Multiple-Valued Logic and Soft Computing, vol. 15, no. 4, pp. 283-300, 2009.
[51] B Daniel Große, Ulrich Kühne, and Rolf Drechsler, "Analyzing functional coverage in bounded model checking," TCAD, vol. 27, no. 7, pp. 1305-1314, Jul. 2008.
[52] B Daniel Große and Rolf Drechsler, "BDD-based verification of scalable designs," Facta Universitatis. Series: Electronics and Energetics, vol. 20, no. 3, pp. 367-379, 2007.
[53] B Rolf Drechsler and Daniel Große, "System level validation using formal techniques," IEE Proceedings Computer & Digital Techniques, Special Issue on Embedded Microelectronic Systems: Status and Trends, vol. 152, no. 3, pp. 393-406, May 2005.
[54] B Daniel Große and Rolf Drechsler, "Ein Ansatz zur formalen Verifikation von Schaltungsbeschreibungen in SystemC," it+ti, vol. 4, pp. 219-226, 2003.
[55] B Frank Schmiedle, Nicole Drechsler, Daniel Große, and Rolf Drechsler, "Heuristic learning based on genetic programming," Genetic Programming and Evolvable Machines, vol. 3, pp. 363-388, 2002.

Conferences

[56] B PDF Christoph Hazott and Daniel Große, "Relation coverage: A new paradigm for hardware/software testing," in ETS, 2024.
[57] B PDF Manfred Schlägl, Moritz Stockinger, and Daniel Große, "A RISC-V "V" VP: Unlocking vector processing for evaluation at the system level," in DATE, 2024.
[58] B PDF Daniel Große, Lucas Klemmer, and Dominik Bonora, "Using formal verification methods for optimization of circuits under external constraints," in DATE, 2024.
[59] B PDF Christoph Hazott, Florian Stögmüller, and Daniel Große, "Verifying embedded graphics libraries leveraging virtual prototypes and metamorphic testing," in ASP-DAC, 2024, pp. 275-281.
[60] B PDF Lucas Klemmer and Daniel Große, "Towards a highly interactive design-debug-verification cycle," in ASP-DAC, 2024, pp. 692-697.
[61] B PDF Christoph Hazott and Daniel Große, "DSA monitoring framework for HW/SW partitioning of application kernels leveraging VPs," in DVCon Europe, 2023, pp. 34-41.
[62] B PDF Lucas Klemmer, Dominik Bonora, and Daniel Große, "Large-scale gatelevel optimization leveraging property checking," in DVCon Europe, 2023, pp. 86-93.
[63] B PDF Frans Skarman, Lucas Klemmer, Oscar Gustafsson, and Daniel Große, "Enhancing compiler-driven HDL design with automatic waveform analysis," in FDL, 2023, pp. 1-8.
[64] B PDF Lucas Klemmer and Daniel Große, "A DSL for visualizing pipelines: A RISC-V case study," in RISC-V Summit Europe, 2023.
[65] B PDF Manfred Schlägl and Daniel Große, "GUI-VP Kit: A RISC-V VP meets Linux graphics - enabling interactive graphical application development," in GLSVLSI, 2023, pp. 599-605.
[66] B PDF Katharina Ruep and Daniel Große, "Improving design understanding of processors leveraging datapath clustering," in DATE, 2023, pp. 1-2.
[67] B PDF Lucas Klemmer, Eyck Jentzsch, and Daniel Große, "Programmable analysis of RISC-V processor simulations using WAL," in DVCon Europe, 2022.
[68] B PDF Muhammad Hassan, Thilo Vörtler, Karsten Einwich, Rolf Drechsler, and Daniel Große, "A cross-domain heterogeneous ABV-library for mixed-signal virtual prototypes in SystemC/AMS," in DVCon Europe, 2022.
[69] B PDF Lucas Klemmer, Sonja Gurtner, and Daniel Große, "Formal verification of SUBLEQ microcode implementing the RV32I ISA," in FDL, 2022, pp. 1-8, (Best Paper Award).
[70] B PDF Alexander Konrad, Christoph Scholl, Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "Divider verification using symbolic computer algebra and delayed don't care optimization," in FMCAD, 2022, pp. 108-117.
[71] B PDF Lucas Klemmer and Daniel Große, "An exploration platform for microcoded RISC-V cores leveraging the one instruction set computer principle," in ISVLSI, 2022, pp. 38-43.
[72] B PDF Lucas Klemmer, Manfred Schlägl, and Daniel Große, "RVVRadar: a framework for supporting the programmer in vectorization for RISC-V," in GLSVLSI, 2022, pp. 183-187.
[73] B PDF Niklas Bruns, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Efficient cross-level processor verification using coverage-guided fuzzing," in GLSVLSI, 2022, pp. 97-103.
[74] B PDF Lucas Klemmer and Daniel Große, "Waveform-based performance analysis of RISC-V processors: late breaking results," in DAC, 2022, pp. 1404-1405.
[75] B PDF Alireza Mahzoon, Daniel Große, Christoph Scholl, Alexander Konrad, and Rolf Drechsler, "Formal verification of modular multipliers using symbolic computer algebra and boolean satisfiability," in DAC, 2022, pp. 1183-1188.
[76] B PDF Pascal Pieper, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Verifying SystemC TLM peripherals using modern C++ symbolic execution tools," in DAC, 2022, pp. 1177-1182.
[77] B PDF Katharina Ruep and Daniel Große, "SpinalFuzz: Coverage-guided fuzzing for SpinalHDL designs," in ETS, 2022, pp. 1-4.
[78] B PDF Lucas Klemmer and Daniel Große, "WAL: a novel waveform analysis language for advanced design understanding and debugging," in ASP-DAC, 2022, pp. 358-364.
[79] B PDF Frank Riese, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Metamorphic testing for processor verification: A RISC-V case study at the instruction level," in VLSI-SoC, 2021, pp. 1-6.
[80] B PDF Lucas Klemmer and Daniel Große, "EPEX: processor verification by equivalent program execution," in GLSVLSI, 2021, pp. 33-38.
[81] B PDF Lucas Klemmer, Saman Froehlich, Rolf Drechsler, and Daniel Große, "XbNN: Enabling CNNs on edge devices by approximate on-chip dot product encoding," in ISCAS, 2021, pp. 1-5.
[82] B PDF Christoph Scholl, Alexander Konrad, Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "Verifying dividers using symbolic computer algebra and don't care optimization," in DATE, 2021, pp. 1110-1115.
[83] B PDF Muhammad Hassan, Daniel Große, and Rolf Drechsler, "System level verification of phase-locked loop using metamorphic relations," in DATE, 2021, pp. 1378-1381, (Best Paper Candidate).
[84] B PDF Muhammad Hassan, Daniel Große, and Rolf Drechsler, "System-level verification of linear and non-linear behaviors of RF amplifiers using metamorphic relations," in ASP-DAC, 2021, pp. 761-766.
[85] B PDF Vladimir Herdt, Sören Tempel, Daniel Große, and Rolf Drechsler, "Mutation-based compliance testing for RISC-V," in ASP-DAC, 2021, pp. 55-60.
[86] B PDF Vladimir Herdt, Daniel Große, Sören Tempel, and Rolf Drechsler, "Adaptive simulation with virtual prototypes for RISC-V: Switching between fast and accurate at runtime," in ICCD, 2020, pp. 312-315.
[87] B PDF Tim Meywerk, Marcel Walter, Daniel Große, and Rolf Drechsler, "Clustering-guided SMT(LRA) learning," in iFM, 2020, pp. 41-59.
[88] B PDF Tim Meywerk, Marcel Walter, Vladimir Herdt, Jan Kleinekathöfer, Daniel Große, and Rolf Drechsler, "Verifying safety properties of robotic plans operating in real-world environments via logic-based environment modeling," in ISoLA, 2020, pp. 326-347.
[89] B PDF Vladimir Herdt, Daniel Große, Eyck Jentzsch, and Rolf Drechsler, "Efficient cross-level testing for processor verification: A RISC-V case-study," in FDL, 2020, pp. 1-7, (Best Paper Award).
[90] B PDF Vladimir Herdt, Daniel Große, and Rolf Drechsler, "RVX - a tool for concolic testing of embedded binaries targeting RISC-V platforms," in ATVA, 2020, pp. 543-549.
[91] B David Lemma, Mehran Goli, Daniel Große, and Rolf Drechsler, "Towards generation of a programmable power management unit at the electronic system level," in DDECS, 2020, pp. 1-6.
[92] B PDF Niklas Bruns, Daniel Große, and Rolf Drechsler, "Early verification of ISA extension specifications using deep reinforcement learning," in GLSVLSI, 2020, pp. 297-302.
[93] B PDF Vladimir Herdt, Daniel Große, Jonas Wloka, Tim Güneysu, and Rolf Drechsler, "Verification of embedded binaries using coverage-guided fuzzing with SystemC-based virtual prototypes," in GLSVLSI, 2020, pp. 101-106.
[94] B PDF Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Closing the RISC-V compliance gap: Looking from the negative testing side," in DAC, 2020, pp. 1-6.
[95] B PDF Pascal Pieper, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Dynamic information flow tracking for embedded binaries using SystemC-based virtual prototypes," in DAC, 2020, pp. 1-6.
[96] B PDF Marcel Walter, Robert Wille, Frank Sill Torres, Daniel Große, and Rolf Drechsler, "Verification for field-coupled nanocomputing circuits," in DAC, 2020, pp. 1-6.
[97] B PDF Saman Froehlich, Lucas Klemmer, Daniel Große, and Rolf Drechsler, "ASNet: Introducing approximate hardware to high-level synthesis of neural networks," in ISMVL, 2020, pp. 64-69.
[98] B PDF Alireza Mahzoon, Daniel Große, Christoph Scholl, and Rolf Drechsler, "Towards formal verification of optimized and industrial multipliers," in DATE, 2020, pp. 544-549.
[99] B PDF Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Towards specification and testing of RISC-V ISA compliance," in DATE, 2020, pp. 995-998.
[100] B PDF Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Fast and accurate performance evaluation for RISC-V using virtual prototypes," in DATE, 2020, pp. 618-621.
[101] B PDF Rolf Drechsler and Daniel Große, "Ensuring correctness of next generation devices: From reconfigurable to self-learning systems," in ATS, 2019, pp. 159-164.
[102] B PDF Muhammad Hassan, Daniel Große, Thilo Vörtler, Karsten Einwich, and Rolf Drechsler, "Functional coverage-driven characterization of RF amplifiers," in FDL, 2019, pp. 1-8, (Best Paper Candidate).
[103] B PDF Vladimir Herdt, Daniel Große, Rolf Drechsler, Christoph Gerum, Alexander Jung, Joscha-Joel Benz, Oliver Bringmann, Michael Schwarz, Dominik Stoffel, and Wolfgang Kunz, "Systematic RISC-V based firmware design," in FDL, 2019, pp. 1-8.
[104] B PDF Tim Meywerk, Marcel Walter, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Towards formal verification of plans for cognition-enabled autonomous robotic agents," in DSD, 2019, pp. 129-136.
[105] B PDF Buse Ustaoglu, Sebastian Huhn, Frank Sill Torres, Daniel Große, and Rolf Drechsler, "SAT-Hard: A learning-based hardware SAT-solver," in DSD, 2019, pp. 74-81.
[106] B PDF Robert Wille, Marcel Walter, Frank Sill Torres, Daniel Große, and Rolf Drechsler, "Ignore clocking constraints: An alternative physical design methodology for field-coupled nanotechnologies," in ISVLSI, 2019, pp. 651-656.
[107] B PDF Mehran Goli, Muhammad Hassan, Daniel Große, and Rolf Drechsler, "Automated analysis of virtual prototypes at electronic system level," in GLSVLSI, 2019, pp. 307-310.
[108] B PDF Vladimir Herdt, Daniel Große, Hoang M. Le, and Rolf Drechsler, "Early concolic testing of embedded binaries with virtual prototypes: A RISC-V case study," in DAC, 2019, pp. 188:1-188:6.
[109] B PDF Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "RevSCA: Using reverse engineering to bring light into backward rewriting for big and dirty multipliers," in DAC, 2019, pp. 185:1-185:6.
[110] B PDF Kenneth Schmitz, Buse Ustaoglu, Daniel Große, and Rolf Drechsler, "(ReCo)Fuse your PRC or lose security: Finally reliable reconfiguration-based countermeasures on FPGAs," in ARC, 2019, pp. 112-126.
[111] B PDF Hoang M. Le, Daniel Große, Niklas Bruns, and Rolf Drechsler, "Detection of hardware trojans in SystemC HLS designs via coverage-guided fuzzing," in DATE, 2019, pp. 602-605.
[112] B PDF Muhammad Hassan, Daniel Große, Hoang M. Le, and Rolf Drechsler, "Data flow testing for SystemC-AMS timed data flow models," in DATE, 2019, pp. 366-371.
[113] B PDF Vladimir Herdt, Daniel Große, Hoang M. Le, and Rolf Drechsler, "Verifying instruction set simulators using coverage-guided fuzzing," in DATE, 2019, pp. 360-365.
[114] B PDF Saman Froehlich, Daniel Große, and Rolf Drechsler, "One method - all error-metrics: A three-stage approach for error-metric evaluation in approximate computing," in DATE, 2019, pp. 284-287.
[115] B PDF Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Maximizing power state cross coverage in firmware-based power management," in ASP-DAC, 2019, pp. 335-340.
[116] B PDF Marcel Walter, Robert Wille, Frank Sill Torres, Daniel Große, and Rolf Drechsler, "Scalable design for field-coupled nanocomputing circuits," in ASP-DAC, 2019, pp. 197-202.
[117] B PDF David Lemma, Mehran Goli, Daniel Große, and Rolf Drechsler, "Power intent from initial ESL prototypes: Extracting power management parameters," in NORCAS, 2018, pp. 1-6.
[118] B PDF Thilo Vörtler, Karsten Einwich, Muhammad Hassan, and Daniel Große, "Using constraints for SystemC AMS design and verification," in DVCon Europe, 2018, (Best Paper Award).
[119] B PDF Vladimir Herdt, Daniel Große, Hoang M. Le, and Rolf Drechsler, "Extensible and configurable RISC-V based virtual prototype," in FDL, 2018, pp. 5-16.
[120] B PDF Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "PolyCleaner: clean your polynomials before backward rewriting to verify million-gate multipliers," in ICCAD, 2018, pp. 129:1-129:8, (Best Paper Award).
[121] B PDF Saman Froehlich, Daniel Große, and Rolf Drechsler, "Towards reversed approximate hardware design," in DSD, 2018, pp. 665-671.
[122] B PDF Frank Sill Torres, Robert Wille, Marcel Walter, Philipp Niemann, Daniel Große, and Rolf Drechsler, "Evaluating the impact of interconnections in quantum-dot cellular automata," in DSD, 2018, pp. 649-656.
[123] B PDF Frank Sill Torres, Marcel Walter, Robert Wille, Daniel Große, and Rolf Drechsler, "Synchronization of clocked field-coupled circuits," in International Conference on Nanotechnology, 2018.
[124] B PDF Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "Combining symbolic computer algebra and boolean satisfiability for automatic debugging and fixing of complex multipliers," in ISVLSI, 2018, pp. 351-356.
[125] B PDF Kenneth Schmitz, Oliver Keszöcze, Jurij Schmidt, Daniel Große, and Rolf Drechsler, "Towards dynamic execution environment for system security protection against hardware flaws," in ISVLSI, 2018, pp. 557-562.
[126] B PDF David Lemma, Daniel Große, and Rolf Drechsler, "Natural language based power domain partitioning," in DDECS, 2018, pp. 101-106.
[127] B PDF Buse Ustaoglu, Sebastian Huhn, Daniel Große, and Rolf Drechsler, "SAT-Lancer: a hardware SAT-solver for self-verification," in GLSVLSI, 2018, pp. 479-482.
[128] B PDF Hoang M. Le, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Resiliency evaluation via symbolic fault injection on intermediate code," in DATE, 2018, pp. 845-850.
[129] B PDF Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Towards fully automated TLM-to-RTL property refinement," in DATE, 2018, pp. 1508-1511.
[130] B PDF Muhammad Hassan, Daniel Große, Hoang M. Le, Thilo Vörtler, Karsten Einwich, and Rolf Drechsler, "Testbench qualification for SystemC-AMS timed data flow models," in DATE, 2018, pp. 857-860.
[131] B PDF Saman Froehlich, Daniel Große, and Rolf Drechsler, "Approximate hardware generation using symbolic computer algebra employing Gröbner basis," in DATE, 2018, pp. 889-892.
[132] B PDF Marcel Walter, Robert Wille, Daniel Große, Frank Sill Torres, and Rolf Drechsler, "An exact method for design exploration of Quantum-dot Cellular Automata," in DATE, 2018, pp. 503-508.
[133] B PDF Arun Chandrasekharan, Stephan Eggersglüß, Daniel Große, and Rolf Drechsler, "Approximation-aware testing for approximate circuits," in ASP-DAC, 2018, pp. 239-244.
[134] B PDF Rolf Drechsler and Daniel Große, "Verifying next generation electronic systems," in ICTUS, 2017, pp. 6-10.
[135] B PDF Arun Chandrasekharan, Daniel Große, and Rolf Drechsler, "Yise - a novel framework for boolean networks using Y-inverter graphs," in MEMOCODE, 2017, pp. 114-117.
[136] B PDF Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Towards early validation of firmware-based power management using virtual prototypes: A constrained random approach," in FDL, 2017, pp. 1-8, (Best Paper Candidate).
[137] B PDF Rehab Massoud, Jannis Stoppe, Daniel Große, and Rolf Drechsler, "Semi-formal cycle-accurate temporal execution traces reconstruction," in FORMATS, 2017, pp. 335-351.
[138] B PDF Muhammad Hassan, Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Early SoC security validation by VP-based static information flow analysis," in ICCAD, 2017, pp. 400-407.
[139] B PDF Saeideh Shirinzadeh, Mathias Soeken, Daniel Große, and Rolf Drechsler, "An adaptive prioritized ε-preferred evolutionary algorithm for approximate BDD optimization," in GECCO, 2017, pp. 1232-1239.
[140] B PDF Arun Chandrasekharan, Daniel Große, and Rolf Drechsler, "ProACt: a processor for high performance on-demand approximate computing," in GLSVLSI, 2017, pp. 463-466.
[141] B PDF Saman Froehlich, Daniel Große, and Rolf Drechsler, "Error bounded exact BDD minimization in approximate computing," in ISMVL, 2017, pp. 254-259.
[142] B PDF Muhammad Hassan, Vladimir Herdt, Hoang M. Le, Mingsong Chen, Daniel Große, and Rolf Drechsler, "Data flow testing for virtual prototypes," in DATE, 2017, pp. 380-385.
[143] B PDF Kenneth Schmitz, Arun Chandrasekharan, Jonas Gomes Filho, Daniel Große, and Rolf Drechsler, "Trust is good, control is better: Hardware-based instruction-replacement for reliable processor-IPs," in ASP-DAC, 2017, pp. 57-62.
[144] B PDF Daniel Große, Hoang M. Le, Muhammad Hassan, and Rolf Drechsler, "Guided lightweight software test qualification for IP integration using virtual prototypes," in ICCD, 2016, pp. 606-613.
[145] B PDF Amr Sayed-Ahmed, Daniel Große, Mathias Soeken, and Rolf Drechsler, "Equivalence checking using Gröbner bases," in FMCAD, 2016, pp. 169-176.
[146] B PDF Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "On the application of formal fault localization to automated RTL-to-TLM fault correspondence analysis for fast and accurate VP-based error effect simulation - a case study," in FDL, 2016, pp. 1-8, (Best Paper Candidate).
[147] B PDF Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Compiled symbolic simulation for SystemC," in ICCAD, 2016, pp. 52:1-52:8.
[148] B PDF Arun Chandrasekharan, Mathias Soeken, Daniel Große, and Rolf Drechsler, "Approximation-aware rewriting of AIGs for error tolerant applications," in ICCAD, 2016, pp. 83:1-83:8.
[149] B PDF Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "ParCoSS: efficient parallelized compiled symbolic simulation," in CAV, 2016, pp. 177-183.
[150] B PDF Saeideh Shirinzadeh, Mathias Soeken, Daniel Große, and Rolf Drechsler, "Approximate BDD optimization with prioritized ε-preferred evolutionary algorithm," in GECCO, 2016, pp. 79-80.
[151] B PDF Arun Chandrasekharan, Mathias Soeken, Daniel Große, and Rolf Drechsler, "Precise error determination of approximated components in sequential circuits with model checking," in DAC, 2016, pp. 129:1-129:6.
[152] B PDF Amr Sayed-Ahmed, Daniel Große, Ulrich Kühne, Mathias Soeken, and Rolf Drechsler, "Formal verification of integer multipliers by combining Gröbner basis with logic reduction," in DATE, 2016, pp. 1048-1053, (Best Paper Candidate).
[153] B PDF Hoang M. Le, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Towards formal verification of real-world SystemC TLM peripheral models - a case study," in DATE, 2016, pp. 1160-1163.
[154] B PDF Fan Gu, Xinqian Zhang, Mingsong Chen, Daniel Große, and Rolf Drechsler, "Quantitative timing analysis of UML activity diagrams using statistical model checking," in DATE, 2016, pp. 780-785.
[155] B PDF Mathias Soeken, Daniel Große, Arun Chandrasekharan, and Rolf Drechsler, "BDD minimization for approximate computing," in ASP-DAC, 2016, pp. 474-479.
[156] B PDF Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Boosting sequentialization-based verification of multi-threaded C programs via symbolic pruning of redundant schedules," in ATVA, 2015, pp. 228-233.
[157] B PDF Amr Sayed-Ahmed, Ulrich Kühne, Daniel Große, and Rolf Drechsler, "Recurrence relations revisited: Scalable verification of bit level multiplier circuits," in ISVLSI, 2015, pp. 1-6.
[158] B PDF Andreas Burger, Alexander Viehl, Andreas Braun, Finn Haedicke, Daniel Große, Oliver Bringmann, and Wolfgang Rosenstiel, "Constraint-based platform variants specification for early system verification," in ASP-DAC, 2014, pp. 800-805.
[159] B PDF Shuo Yang, Robert Wille, Daniel Große, and Rolf Drechsler, "Minimal stimuli generation in simulation-based verification," in DSD, 2013, pp. 439-444.
[160] B PDF Hoang M. Le, Daniel Große, Vladimir Herdt, and Rolf Drechsler, "Verifying SystemC using an intermediate verification language and symbolic simulation," in DAC, 2013, pp. 116:1-116:6.
[161] B PDF Rolf Drechsler, Daniel Große, Hoang M. Le, and André Sülflow, "Synchronized debugging across different abstraction levels in system design," in Embedded World Conference, 2013.
[162] B PDF Hoang M. Le, Daniel Große, and Rolf Drechsler, "Scalable fault localization for SystemC TLM designs," in DATE, 2013, pp. 35-38.
[163] B PDF Hoang M. Le, Daniel Große, and Rolf Drechsler, "From requirements and scenarios to ESL design in SystemC," in ISED, 2012, pp. 183-187.
[164] B PDF Marcio F. S. Oliveira, Christoph Kuznik, Wolfgang Müller, Finn Haedicke, Hoang M. Le, Daniel Große, Rolf Drechsler, Wolfgang Ecker, and Volkan Esen, "The system verification methodology for advanced TLM verification," in CODES+ISSS, 2012, pp. 313-322.
[165] B PDF Finn Haedicke, Hoang M. Le, Daniel Große, and Rolf Drechsler, "CRAVE: An advanced constrained random verification environment for SystemC," in SoC, 2012, pp. 1-7.
[166] B PDF Rolf Drechsler, Melanie Diepenbeck, Daniel Große, Ulrich Kühne, Hoang M. Le, J. Seiter, Mathias Soeken, and Robert Wille, "Completeness-driven development," in ICGT, 2012, pp. 38-50.
[167] B PDF Marc Michael, Daniel Große, and Rolf Drechsler, "Localizing features of ESL models for design understanding," in FDL, 2012, pp. 120-125.
[168] B PDF Shuo Yang, Robert Wille, Daniel Große, and Rolf Drechsler, "Coverage-driven stimuli generation," in DSD, 2012, pp. 525-528.
[169] B PDF Finn Haedicke, Daniel Große, and Rolf Drechsler, "A guiding coverage metric for formal verification," in DATE, 2012, pp. 617-622.
[170] B PDF Marc Michael, Daniel Große, and Rolf Drechsler, "Analyzing dependability measures at the Electronic System Level," in FDL, 2011, pp. 1-8.
[171] B PDF Mohamed Bawadekji, Daniel Große, and Rolf Drechsler, "TLM protocol compliance checking at the electronic system level," in DDECS, 2011, pp. 435-440.
[172] B PDF Robert Wille, Mathias Soeken, Daniel Große, E. Schönborn, and Rolf Drechsler, "Designing a risc cpu in reversible logic," in ISMVL, 2011, pp. 170-175.
[173] B PDF Daniel Große, M. Groß, Ulrich Kühne, and Rolf Drechsler, "Simulation-based equivalence checking between SystemC models at different levels of abstraction," in GLSVLSI, 2011, pp. 223-228.
[174] B PDF Daniel Große, Hoang M. Le, and Rolf Drechsler, "Proving transaction and system-level properties of untimed SystemC TLM designs," in MEMOCODE, 2010, pp. 113-122.
[175] B PDF Robert Wille, Daniel Große, Finn Haedicke, and Rolf Drechsler, "SMT-based stimuli generation in the SystemC verification library," in FDL, 2009, pp. 1-6.
[176] B PDF André Sülflow, Ulrich Kühne, Görschwin Fey, Daniel Große, and Rolf Drechsler, "WoLFram - a word level framework for formal verification," in RSP, 2009, pp. 11-17.
[177] B PDF Daniel Große, Robert Wille, Ulrich Kühne, and Rolf Drechsler, "Contradictory antecedent debugging in bounded model checking," in GLSVLSI, 2009, pp. 173-176.
[178] B PDF Robert Wille, Daniel Große, D. Michael Miller, and Rolf Drechsler, "Equivalence checking of reversible circuits," in ISMVL, 2009, pp. 324-330.
[179] B PDF Ulrich Kühne, Daniel Große, and Rolf Drechsler, "Property analysis and design understanding," in DATE, 2009, pp. 1246-1249.
[180] B PDF Robert Wille, Daniel Große, Stefan Frehse, Gerhard W. Dueck, and Rolf Drechsler, "Debugging of Toffoli networks," in DATE, 2009, pp. 1284-1289.
[181] B PDF Robert Wille, Daniel Große, Gerhard W. Dueck, and Rolf Drechsler, "Reversible logic synthesis with output permutation," in VLSI Design, 2009, pp. 189-194.
[182] B PDF Daniel Große, Robert Wille, Robert Siegmund, and Rolf Drechsler, "Contradiction analysis for constraint-based random simulation," in FDL, 2008, pp. 130-135.
[183] B PDF Robert Wille, Daniel Große, Mathias Soeken, and Rolf Drechsler, "Using higher levels of abstraction for solving optimization problems by boolean satisfiability," in ISVLSI, 2008, pp. 411-416.
[184] B PDF Daniel Große, Robert Wille, Gerhard W. Dueck, and Rolf Drechsler, "Exact synthesis of elementary quantum gate circuits for reversible functions with don't cares," in ISMVL, 2008, pp. 214-219.
[185] B PDF Robert Wille, Daniel Große, L. Teuber, Gerhard W. Dueck, and Rolf Drechsler, "RevLib: an online resource for reversible functions and reversible circuits," in ISMVL, 2008, pp. 220-225, RevLib is available at http://www.revlib.org.
[186] B PDF Robert Wille, Hoang M. Le, Gerhard W. Dueck, and Daniel Große, "Quantified synthesis of reversible logic," in DATE, 2008, pp. 1015-1020.
[187] B PDF Robert Wille and Daniel Große, "Fast exact Toffoli network synthesis of reversible logic," in ICCAD, 2007, pp. 60-64.
[188] B PDF Robert Wille, Görschwin Fey, Daniel Große, Stephan Eggersglüß, and Rolf Drechsler, "Sword: A SAT like prover using word level information," in VLSI-SoC, 2007, pp. 88-93.
[189] B PDF Daniel Große, Hernan Peraza, Wolfgang Klingauf, and Rolf Drechsler, "Measuring the quality of a SystemC testbench by using code coverage techniques," in FDL, 2007, pp. 146-151, (Best Paper Award).
[190] B PDF Ulrich Kühne, Daniel Große, and Rolf Drechsler, "Improving the quality of bounded model checking by means of coverage estimation," in ISVLSI, 2007, pp. 165-170.
[191] B PDF Mahsan Amoui, Daniel Große, Mitchell A. Thornton, and Rolf Drechsler, "Evaluation of toggle coverage for mvl circuits specified in the SystemVerilog HDL," in ISMVL, 2007, p. 50 (6 pages).
[192] B PDF Daniel Große, Rüdiger Ebendt, and Rolf Drechsler, "Improvements for constraint solving in the SystemC verification library," in GLSVLSI, 2007, pp. 493-496.
[193] B PDF Daniel Große, Xiaobo Chen, Gerhard W. Dueck, and Rolf Drechsler, "Exact SAT-based Toffoli network synthesis," in GLSVLSI, 2007, pp. 96-101.
[194] B PDF Daniel Große, Ulrich Kühne, and Rolf Drechsler, "Estimating functional coverage in bounded model checking," in DATE, 2007, pp. 1176-1181.
[195] B PDF Daniel Große, Ulrich Kühne, and Rolf Drechsler, "Hw/sw co-verification of embedded systems using bounded model checking," in GLSVLSI, 2006, pp. 43-48.
[196] B PDF Görschwin Fey, Daniel Große, and Rolf Drechsler, "Avoiding false negatives in formal verification for protocol-driven blocks," in DATE, 2006, pp. 1225-1226.
[197] B PDF Daniel Große and Rolf Drechsler, "Acceleration of SAT-based iterative property checking," in CHARME, 2005, pp. 349-353.
[198] B PDF Daniel Große and Rolf Drechsler, "em CheckSyC: An efficient property checker for RTL SystemC designs," in ISCAS, 2005, pp. 4167-4170.
[199] B PDF Jan Peleska, Daniel Große, Anne E. Haxthausen, and Rolf Drechsler, "Automated verification for train control systems," in Formal Methods for Automation and Safety in Railway and Automotive Systems, 2004, pp. 252-265.
[200] B PDF Daniel Große and Rolf Drechsler, "Checkers for SystemC designs," in MEMOCODE, 2004, pp. 171-178.
[201] B PDF Daniel Große, Rolf Drechsler, Lothar Linhard, and Gerhard Angst, "Efficient automatic visualization of SystemC designs," in FDL, 2003, pp. 646-657.
[202] B PDF Daniel Große and Rolf Drechsler, "Formal verification of LTL formulas for SystemC designs," in ISCAS, 2003, pp. V:245-V:248.
[203] B PDF Daniel Große, Görschwin Fey, and Rolf Drechsler, "Modeling multi-valued circuits in SystemC," in ISMVL, 2003, pp. 281-286.
[204] B PDF Rolf Drechsler and Daniel Große, "Reachability analysis for formal verification of SystemC," in DSD, 2002, pp. 337-340.
[205] B Frank Schmiedle, Nicole Drechsler, Daniel Große, and Rolf Drechsler, "Priorities in multi-objective optimization for genetic programming," in GECCO, 2001, pp. 129-136.
[206] B Frank Schmiedle, Daniel Große, Rolf Drechsler, and Bernd Becker, "Too much knowledge hurts: Acceleration of genetic programs for learning heuristics," in Int'l Conference on Computational Intelligence (Fuzzy Days), ser. LNCS, vol. 2206, 2001, pp. 479-491.
[207] B Nicole Drechsler, Frank Schmiedle, Daniel Große, and Rolf Drechsler, "Heuristic learning based on genetic programming," in European Conference on Genetic Programming, ser. LNCS, vol. 2038. Springer, 2001, pp. 1-10.

Workshops

[208] B PDF Manfred Schlägl, Christoph Hazott, and Daniel Große, "RISC-V VP++: Next generation open-source virtual prototype," in Workshop on Open-Source Design Automation, 2024.
[209] B PDF Lucas Klemmer and Daniel Große, "WSVA: a SystemVerilog Assertion to WAL compiler," in Workshop on Open-Source Design Automation, 2024.
[210] B PDF Christoph Hazott, Florian Stögmüller, and Daniel Große, "Leveraging virtual prototypes and metamorphic testing for verification of embedded graphics libraries," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2024.
[211] B PDF Lucas Klemmer and Daniel Große, "Programming language assisted waveform analysis: A case study on the instruction performance of SERV," in Workshop on Open-Source Design Automation, 2023.
[212] B PDF Katharina Ruep and Daniel Große, "Fuzz-testing of SpinalHDL designs," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2023.
[213] B PDF Lucas Klemmer, Sonja Gurtner, and Daniel Große, "How we learned to stop worrying and build a RISC-V VP with only one microcode instruction," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2023.
[214] B PDF Alexander Konrad, Christoph Scholl, Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "Divider verification using symbolic computer algebra and delayed don't care optimization," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2023.
[215] B PDF Muhammad Hassan, Thilo Vörtler, Karsten Einwich, Rolf Drechsler, and Daniel Große, "Towards system-level assertions for heterogeneous systems," in Int'l Workshop on Boolean Problems, 2022.
[216] B Lucas Klemmer and Daniel Große, "Programmable waveform analysis using the domain specific language WAL," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2022.
[217] B Pascal Pieper, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "VP-based DIFT for embedded binaries: A RISC-V case study," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2021.
[218] B Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "GenMul: Generating architecturally complex multipliers to challenge formal verification tools," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2021.
[219] B Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Fuzz-testing RISC-V simulators," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2020.
[220] B Muhammad Hassan, Daniel Große, Ahmad Asghar, and Rolf Drechsler, "Coverage-directed stimuli generation for characterization of RF amplifiers," in GI/ITG Workshop "Testmethoden und Zuverlässigkeit von Schaltungen und Systemen", 2020.
[221] B Alireza Mahzoon, Daniel Große, and Rolf Drechsler, "GenMul: Generating architecturally complex multipliers to challenge formal verification tools," in IWLS, 2019.
[222] B Marcel Walter, Robert Wille, Frank Sill Torres, Daniel Große, and Rolf Drechsler, "fiction: An open source framework for the design of field-coupled nanocomputing circuits," in IWLS, 2019.
[223] B Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Evaluation of power state cross coverage in firmware-based power management," in Embedded Software for Industrial IoTs, 2018.
[224] B Vladimir Herdt, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Towards automated refinement of TLM properties to RTL," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2018.
[225] B Hoang M. Le, Vladimir Herdt, Daniel Große, and Rolf Drechsler, "Revisiting symbolic software-implemented fault injection," in International ESWEEK Workshop on Resiliency in Embedded Electronic Systems, 2017.
[226] B Saman Froehlich, Daniel Große, and Rolf Drechsler, "Exakte BDD Minimierung mit Fehlerschranke für den Einsatz im Approximate Computing," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2017.
[227] B Daniel Große, Kenneth Schmitz, and Rolf Drechsler, "Using lightweight containers in hardware/software co-design for security," in Workshop on Computer-Aided Design and Implementation for Cryptography and Security, 2016.
[228] B Arun Chandrasekharan, Daniel Große, Mathias Soeken, and Rolf Drechsler, "Symbolic error metric determination for approximate computing," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2016, pp. 75-76.
[229] B Aljoscha Windhorst, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Towards generating test suites with high functional coverage for error effect simulation," in International ESWEEK Workshop on Resiliency in Embedded Electronic Systems, 2015.
[230] B Mathias Soeken, Daniel Große, Arun Chandrasekharan, and Rolf Drechsler, "Using binary decision diagrams in the design flow of approximate computing," in Workshop on Approximate Computing, 2015.
[231] B Aljoscha Windhorst, Hoang M. Le, Daniel Große, and Rolf Drechsler, "Funktionale Abdeckungsanalyse von C-Programmen," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2014, pp. 201-204.
[232] B Hoang M. Le, Daniel Große, Vladimir Herdt, and Rolf Drechsler, "SystemC Verifikation mittels symbolischer Simulation einer Zwischensprache," in Electronic Design Automation Workshop, 2013.
[233] B Melanie Diepenbeck, Mathias Soeken, Daniel Große, and Rolf Drechsler, "Towards automatic scenario generation from coverage information," in International Workshop on Automation of Software Test, 2013, pp. 82-88.
[234] B Melanie Diepenbeck, Mathias Soeken, Daniel Große, and Rolf Drechsler, "Behavior driven development for circuit design and verification," in HLDVT, 2012, pp. 9-16.
[235] B Stephan Radke, Steffen Rülke, Marcio F. S. Oliveira, Christoph Kuznik, Wolfgang Müller, Wolfgang Ecker, Volkan Esen, Simon Hufnagel, Nico Bannow, Helmut Brazdrum, Peter Janssen, Hoang M. Le, Daniel Große, Rolf Drechsler, Erhard Fehlauer, Gernot Koch, Andreas Burger, Oliver Bringmann, Wolfgang Rosenstiel, Finn Haedicke, Ralph Görgen, and Jan-Hendrik Oetjens, "Compilation of methodologies to speed up the verification process at system level," in Electronic Design Automation Workshop, 2012, pp. 57-62.
[236] B Marc Michael, Daniel Große, and Rolf Drechsler, "Design understanding by feature localization on ESL," in 9. GMM/ITG/GI-Workshop Cyber-Physical Systems - Enabling Multi-Nature Systems, 2012, pp. 19-24.
[237] B Hoang M. Le, Daniel Große, and Rolf Drechsler, "SystemC-based ESL verification flow integrating property checking and automatic debugging," in DATE Friday Workshop: OSCI and Accellera Core Technologies for the Next Generation of System-Level Design, 2012.
[238] B Finn Haedicke, Hoang M. Le, Daniel Große, and Rolf Drechsler, "CRAVE: An advanced constrained random verification environment for SystemC," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2012, pp. 37-48.
[239] B Hoang M. Le, Daniel Große, and Rolf Drechsler, "Towards proving TLM properties with local variables," in 7th International Workshop on Constraints in Formal Verification (CFV), 2011.
[240] B Finn Haedicke, Stefan Frehse, Görschwin Fey, Daniel Große, and Rolf Drechsler, "metaSMT: Focus on your application not on solver integration," in DIFTS'11: 1st International workshop on design and implementation of formal tools and systems, 2011, pp. 22-29.
[241] B Kim Grüttner, Andreas Herrholz, Ulrich Kühne, Daniel Große, Achim Rettberg, Wolfgang Nebel, and Rolf Drechsler, "Towards dependability-aware design of hardware systems using extended program state machines," in 2nd IEEE Workshop on Self-Organizing Real-Time Systems, 2011, pp. 181-188.
[242] B Mohamed Bawadekji, Daniel Große, and Rolf Drechsler, "Protocol compliance checking of SystemC TLM models," in 8. GMM/ITG/GI-Workshop Cyber-Physical Systems - Enabling Multi-Nature Systems, 2011, pp. 27-32.
[243] B Daniel Große, M. Groß, Ulrich Kühne, and Rolf Drechsler, "Simulation-based equivalence checking between SystemC models at different levels of abstraction," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2011, pp. 269-278.
[244] B Robert Wille, Mathias Soeken, Daniel Große, E. Schönborn, and Rolf Drechsler, "Designing a RISC CPU in reversible logic," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2011, pp. 249-258.
[245] B Hoang M. Le, Daniel Große, and Rolf Drechsler, "Automatic fault localization for SystemC TLM designs," in MTV Workshop, 2010, pp. 35-40.
[246] B Hoang M. Le, Daniel Große, and Rolf Drechsler, "Towards analyzing functional coverage in SystemC TLM property checking," in HLDVT, 2010, pp. 67-74.
[247] B Daniel Große, Hoang M. Le, and Rolf Drechsler, "Induction-based formal verification of SystemC TLM designs," in MTV Workshop, 2009, pp. 101-106.
[248] B Robert Wille, Daniel Große, D. Michael Miller, and Rolf Drechsler, "Equivalence checking of reversible circuits," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2009, pp. 67-76.
[249] B Ulrich Kühne, Daniel Große, and Rolf Drechsler, "Property analysis and design understanding in a quality-driven bounded model checking flow," in MTV Workshop, 2008, pp. 88-93.
[250] B Robert Wille, Daniel Große, Gerhard W. Dueck, and Rolf Drechsler, "Reversible logic synthesis with output permutation," in Int'l Workshop on Boolean Problems, 2008.
[251] B Daniel Große, Robert Wille, Robert Siegmund, and Rolf Drechsler, "Contradiction analysis for constraint-based random simulation," in Dresdner Arbeitstagung Schaltungs- und Systementwurf, 2008, pp. 25-30.
[252] B Daniel Große, Robert Wille, Ulrich Kühne, and Rolf Drechsler, "Using contradiction analysis for antecedent debugging in bounded model checking," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2008, pp. 169-178.
[253] B André Sülflow, Ulrich Kühne, Robert Wille, Daniel Große, and Rolf Drechsler, "Evaluation of SAT like proof techniques for formal verification of word level circuits," in IEEE Workshop on RTL and High Level Testing, 2007, pp. 31-36.
[254] B Görschwin Fey, Daniel Große, Stephan Eggersglüß, Robert Wille, and Rolf Drechsler, "Formal verification on the word level using SAT-like proof techniques," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2007, pp. 165-173.
[255] B Daniel Große, Xiaobo Chen, and Rolf Drechsler, "Exact Toffoli network synthesis of reversible logic using boolean satisfiability," in IEEE Dallas/CAS Workshop, 2006, pp. 51-54.
[256] B Ulrich Kühne, Daniel Große, and Rolf Drechsler, "Complete formal verification of multi core embedded systems using bounded model checking," in IEEE Dallas/CAS Workshop, 2006, pp. 147-150.
[257] B Daniel Große, Ulrich Kühne, and Rolf Drechsler, "Hw/sw co-verification of embedded systems using bounded model checking," in MTV Workshop, 2005, pp. 133-137.
[258] B Sebastian Kinder, Daniel Große, and Rolf Drechsler, "Bounded model checking of tram control systems," in TRain Workshop at SEFM2005, 2005.
[259] B Daniel Große, U. Kühne, and Rolf Drechsler, "Formale Verifikation des Befehlssatzes eines SystemC Mikroprozessors," in GI Jahrestagung (1), ser. Lecture Notes in Informatics, vol. 67, 2005, pp. 308-312.
[260] B Daniel Große and Rolf Drechsler, "Acceleration of SAT-based iterative property checking," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2005.
[261] B Daniel Große, Ulrich Kühne, Christian Genz, Frank Schmiedle, Bernd Becker, Rolf Drechsler, and Paul Molitor, "Modellierung eines Mikroprozessors in SystemC," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2005.
[262] B Rolf Drechsler, Görschwin Fey, Christian Genz, and Daniel Große, "SyCE: An integrated environment for system design in SystemC," in RSP, 2005, pp. 258-260.
[263] B Görschwin Fey, Daniel Große, Tim Cassens, Christian Genz, Tim Warode, and Rolf Drechsler, "ParSyC: An Efficient SystemC Parser," in Workshop on Synthesis And System Integration of Mixed Information technologies, 2004, pp. 148-154.
[264] B Daniel Große and Rolf Drechsler, "BDD-based verification of scalable designs," in HLDVT, 2003, pp. 123-128.
[265] B Daniel Große and Rolf Drechsler, "Formale Verifikation von LTL-Formeln für SystemC-Beschreibungen," in ITG/GI/GMM-Workshop "Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen", 2003, pp. 229-238.

Others

[266] B Daniel Große, "RISC-V VP++: Unlocking the vast linux ecosystem for open source RISC-V virtual prototypes: From fast bootup, VNC, vector extension to 3D-games," in SystemC Evolution Day, 2023.
[267] B Daniel Große and Lucas Klemmer, "Get the most out of your waveforms - from non-functional analysis to functional debug via programs on waveforms," in Tutorial at Forum on specification & Design Languages, 2023.
[268] B Manfred Schlägl, Christoph Hazott, and Daniel Große, "Recent developments in open-source RISC-V virtual prototypes: From vector extensions, tracing to 3D-games," in Special Session at Forum on specification & Design Languages, 2023.
[269] B Sonja Gurtner, Lucas Klemmer, Mathias Fleury, and Daniel Große, "Replacing RISC-V instructions by others," in Proc. of SAT Competition 2023 - Solver and Benchmark Descriptions, 2023.
[270] B Lucas Klemmer and Daniel Große, "Applying the four-eyes principle to RISC-V processor verification by equivalent program execution," in 4th Workshop on RISC-V Activities, 2021.
[271] B Lucas Klemmer and Daniel Große, "Programmable waveform analysis using WAL," in OpenTapeOut Conference, 2021.
[272] B Vladimir Herdt, Daniel Große, and Eyck Jentzsch (organizer), "Cross-level compliance testing and verification for RISC-V, Speakers: Daniel Große, Vladimir Herdt," in Tutorial at DVCon Europe, 2020.
[273] B Vladimir Herdt, Eyck Jentzsch, Daniel Große, and Rolf Drechsler, "Efficient RISC-V processor verification via cross-level testing," in 3rd Workshop on RISC-V Activities, 2020.
[274] B Daniel Große (organizer), "RISC-V based firmware design, Speakers: Christoph Gerum, Vladimir Herdt, Michael Schwarz," in Special Session at Forum on specification & Design Languages, 2019.
[275] B Daniel Große (organizer), "Firmware firmly under control: New optimization and verification techniques for application specific electronic systems, Speakers: Daniel Große, Manuel Strobel, Daniel Müller-Gritschneder, Vladimir Herdt, Tobias Ludwig," in Tutorial at DVCon Europe, 2018.
[276] B Daniel Große (organizer), "Embedded software for the IoT: Design, optimization and verification, Speakers: Rafael Stahl, Vladimir Herdt, Michael Schwarz, Aljoscha Kirchner," in Special Session at Forum on specification & Design Languages, 2018.
[277] B Daniel Große (organizer), "Automatic firmware design for application-specific electronic systems: Opportunities, challenges and solutions, Speakers: Daniel Große, Joscha Benz, Vladimir Herdt, Martin Dittrich," in Tutorial at DVCon Europe, 2017.
[278] B Daniel Große (panelist), "The WHAT? and WHY? of high-level languages in designing and verifying complex integrated systems - Lets take a formal perspective," in Panel at Forum on specification & Design Languages, 2017.
[279] B Stephan Gerth and Daniel Große, "UVM-SystemC goes random - introducing CRAVE in UVM-SystemC," in Tutorial at DVCon Europe, 2016.
[280] B Daniel Große (organizer), "Reliability and safety in VP-based embedded system development, Speakers: Vladimir Herdt, Bogdan-Andrei Tabacaru," in Special Session at Forum on specification & Design Languages, 2016.
[281] B Daniel Große, "Circuit design: Slip schedule or automate debug," in DVClub Shanghai: Making Verification Debug More Efficient, 2014.
[282] B Daniel Große, "Circuit design: Slip schedule or automate debug," in ISMVL, 2014.
[283] B Daniel Große, Finn Haedicke, Hoang M. Le, and Rolf Drechsler, "An advanced constrained random verification environment for SystemC," in 24. European SystemC User's Group Meeting (ESCUG), 2011.
[284] B Daniel Große and Frank Schirrmeister (organizer), "ESL HW/SW verification: A reality check, Speakers: Matthias Bauer, Viraphol Chaiyakul, Alan Gatherer, Sandeep Shukla, Daniel Kroening," in Panel at Design Automation Conference (DAC), 2011.
[285] B Daniel Große, Hoang M. Le, and Rolf Drechsler, "Formal verification of abstract SystemC models," in Algorithms and Applications for Next Generation SAT Solvers, ser. Dagstuhl Seminar Proceedings, Bernd Becker, Valeria Bertacco, Rolf Drechsler, and Masahiro Fujita, Eds., no. 09461, 2010.
[286] B Oliver Bringmann, Wolfgang Ecker, Volkan Esen, Erhard Fehlauer, Daniel Große, Christoph Kuznik, Jan-Hendrik Oetjens, and Andreas von Schwerin, "State-of-the-art and challenges in ESL-verification," in Full-Day Tutorial at Design, Automation and Test in Europe (DATE), 2010.
[287] B Daniel Große, Görschwin Fey, and Rolf Drechsler, "Enhanced formal verification flow for circuits integrating debugging and coverage analysis," in Specification - Transformation - Navigation, Festschrift dedicated to Bernd Krieg-Brückner on Occasion of his 60th Birthday, 2009.
[288] B Daniel Große, "Quality-driven design and verification flow for digital systems," Dissertation, Universität Bremen, Bremen, Germany, Oct. 2008.
[289] B Daniel Große, "Using formal methods for verification of complex systems," in EDAA/DATE PhD Forum at Design, Automation and Test in Europe, 2008.
[290] B Daniel Große, Rolf Drechsler, Vasco Jerinic, Jan Langer, Erhard Fehlauer, Frank Roging, Steffen Rülke, Frank Dresig, Christian Haufe, Thomas Berndt, and Hans-Jürgen Brand, "Analysemethoden für unsichere Anwendungsbedingungen - Beiträge von AMD Fraunhofer IIS/EAS, TU Chemnitz und Uni Bremen zu Arbeitspaket 3," in edaWorkshop (Poster), 2008.
[291] B Daniel Große, Vasco Jerinic, Jan Langer, R. Beckert, Erhard Fehlauer, Frank Roging, Steffen Rülke, Hans-Jürgen Brand, Frank Dresig, Christian Haufe, and Thomas Berndt, "Analysemethoden für unsichere Anwendungsbedingungen - Beiträge von AMD Fraunhofer IIS/EAS, TU Chemnitz und Uni Bremen zu Arbeitspaket 3," in edaWorkshop (Poster), 2007.
[292] B Daniel Große and Rolf Drechsler, "Debugging in der Constraint-gesteuerten Zufallssimulation," in URANOS-Workshop Anwendungsrobuster Entwurf nanoelektronischer Systeme, 2007.
[293] B Daniel Große, Jan Langer, R. Beckert, H. Süße, Erhard Fehlauer, Frank Roging, Frank Dresig, Christian Haufe, and Thomas Berndt, "Analysemethoden für unsichere Anwendungsbedingungen," in Ekompass-Workshop (Poster), 2006.
[294] B Daniel Große and Rolf Drechsler, "Verifikation mit Constraint-gesteuerter Zufallssimulation," in URANOS-Workshop Anwendungsrobuster Entwurf nanoelektronischer Systeme, 2006.
[295] B Daniel Große, "Formale Verifikation von SystemC-Beschreibungen," Diploma thesis, Albert-Ludwigs-Universität, Freiburg, Germany, Aug. 2002.